CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 正弦波

搜索资源列表

  1. sin

    0下载:
  2. 基于VHDL硬件描述语言的正弦波利用Maxplus的仿真实例-VHDL hardware descr iption language based on the sine wave using the simulation Maxplus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:411545
    • 提供者:dongmei
  1. DDS

    0下载:
  2. dds实现正弦波vhdl dds宏功能模块 实现各种波形-sine vhdl dds dds achieve macro modules to achieve a variety of waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:522519
    • 提供者:里吗
  1. jiyuVHDLshizhongchengxu

    0下载:
  2. 基于VHDL的时钟、正弦波和方波实验报告-VHDL-based clock, sine and square wave experiment report
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:726523
    • 提供者:曾斌
  1. dds

    0下载:
  2. DDS数字函数信号发生器,采用VHDL编写,可以产生正弦波、锯齿波、三角波信号,信号的频率和相位都可调。-DDS Digital Function Generator using VHDL write, you can produce sine, sawtooth, triangle wave signal, the signal s frequency and phase are adjustable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1163
    • 提供者:郝心情
  1. dds

    0下载:
  2. 这是个基于dds的正弦波发生器的程序,用vhdl语言编写, 希望对大家有用。 -This is a sine wave generator based on dds program, using vhdl language, want to be useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:694
    • 提供者:杜维轩
  1. design

    0下载:
  2. 基于vhdl的dds发生器,精度可达到1Hz,包含正弦波,三角波,方波-DDS based on VHDL,display resolution 1Hz, include sine wave,triangular wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:400827
    • 提供者:海蓝漂流瓶
  1. sinx

    0下载:
  2. 完整的正弦波频率产生,详细的源程序以及完整仿真,对学习vhdl及eda很有帮助,在modelsim中仿真-Complete sine wave frequency generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:404061
    • 提供者:okitaaoi
  1. zheng_xian_bo

    0下载:
  2. 用Quartus II 9.0 产生正弦波的VHDL源代码。-Quartus II 9.0 VHDL source code of the sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:1900967
    • 提供者:天涯
  1. waveform-signal-generator

    0下载:
  2. 基于FPGA的波形信号发生器的设计和实现,利用VHDL语言实现正弦波三角波等波形信号输出-The waveform signal generator based on FPGA design and implementation, using VHDL language to realize sine wave triangular wave and waveform signal output
  3. 所属分类:Project Design

    • 发布日期:2017-11-10
    • 文件大小:2051905
    • 提供者:ocpuy
  1. LIA

    0下载:
  2. 该vhdl代码用两个rom模拟产生两路正弦波,并设计了一个乘法器将两路正弦波相乘。-The two vhdl code with two rom analog sine wave and design a multiplier to multiply two sine wave.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-20
    • 文件大小:689041
    • 提供者:haoboy
  1. DDSVHDLCODE

    0下载:
  2. 本人收集的多个VHDL语言编写的正弦波发生器以及SPWM程序。-I collected multiple VHDL language of sine wave generator SPWM program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:4635648
    • 提供者:
  1. sin

    0下载:
  2. vhdl语言写的基于rom的正弦波发生器,包含代码和仿真图-VHDL language used to write rom-based sine wave generator contains code and simulation Figure
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:71625
    • 提供者:张瑞萌
  1. Multi-function-waveform-generator

    0下载:
  2. 本系统应用VHDL语言及MAX+PLUS II仿真软件利用自顶向下的设计思想进行设计,结合示波器加以完成一个可应用于数字系统开发或实验时做输入脉冲信号或基准脉冲信号用的信号发生器,它具结构紧凑,性能稳定,设计结构灵活,方便进行多功能组合的特点,经济实用,成本低廉。具有产生四种基本波形脉冲信号(方波、三角波、锯齿波和正弦波),且脉冲信号输出幅度及输出频率可调,对于方波信号,还可以实现占空比可调。通过软件仿真和硬件测试都得到了预期的结果。-The system using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1485546
    • 提供者:xinxing
  1. xinhao

    0下载:
  2. 简易信号发生器,可输出三种波形,递增锯齿波发生器模块,正弦波发生器模块,方波发生器模块,波形选择器模块,vhdl-Simple signal generator can output three waveforms, incremental sawtooth generator module, the sine wave generator module, a square wave generator module, waveform selector module, vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:60459
    • 提供者:沈微
  1. cos-fangshengqi

    0下载:
  2. 正弦波发生器的产生,让你有VHDL设计一个正弦波发生器-The generation of the sine wave generator, VHDL design of a sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:565291
    • 提供者:马金柱
  1. function-of-fangbozhenxianandsanjiao

    0下载:
  2. 基于FPGA的函数信号发生器VHDL设计,包括方波、三角波和正弦波-FPGA-based VHDL design function signal generator, including a square wave, triangle wave and sine
  3. 所属分类:software engineering

    • 发布日期:2017-11-24
    • 文件大小:119960
    • 提供者:eeant
  1. zhongji

    0下载:
  2. 基于vhdl的dds信号发生器程序,具有一致十k调频功能,输出32k及64k正弦波-Based on the dds signal generator vhdl program has a consistent ten k FM function, 32k and 64k sine wave output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:2329063
    • 提供者:yjl
  1. FPGA_trainning2013A

    0下载:
  2. 在EDA实验课上面,自己编写的NCO程序,可以产生出比较真实的正弦波、三角波以及锯齿波,用VHDL程序编写,有modelsim仿真textbench程序-On EDA experiment, oneself write the NCO program, can produce more real sine wave, triangular wave and sawtooth wave with VHDL programming, have the modelsim simulation text
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:403650
    • 提供者:刘far
  1. myproj

    1下载:
  2. 使用vhdl语言设计波形发生器,产生正弦波,方波,三角波,锯齿波,实现频率,幅度可调。项目包附有设计说明和资料。-Waveform generator using vhdl language design, produce sine, square, triangle, ramp, realize the frequency, amplitude adjustable. Project package with design specifications and data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16139670
    • 提供者:李伟杰
  1. DDS

    0下载:
  2. 基于DDS原理,利用VHDL语言进行正弦波、三角波、锯齿波、矩形波等波形的发生。包括完整代码和QUARTUS II工程。-Based on DDS principle, the use of VHDL, sine, triangle, sawtooth, square wave waveform occurs. Including the complete code and QUARTUS II project.
  3. 所属分类:Communication

    • 发布日期:2017-04-04
    • 文件大小:147836
    • 提供者:chuangfen
« 1 2 3 4 5 6 7 89 10 »
搜珍网 www.dssz.com